CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl hour minute

搜索资源列表

  1. VHDL-ysw

    0下载:
  2. 基于CPLD的棋类比赛计时时钟,第一个CNT60实现秒钟计时功能,第二个CNT60实现分钟的计时功能,CTT3完成两小时的计时功能。秒钟计时模块的进位端和开关K1相与提供分钟的计时模块使能,当秒种计时模块计时到59时向分种计时模块进位,同时自己清零。同理分种计时模块到59时向CTT3小时计时模块进位,到1小时59分59秒时,全部清零。同时,开关K1可以在两小时内暂停秒钟计时模块,分钟计时模块和小时计时模块。各模块的VHDL语言描述如下:-CPLD-based time clock chess c
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2716
    • 提供者:杨仕伟
  1. clock

    0下载:
  2. 描述了24小时计时的数字钟,同时具有分秒计时的功能-Described a 24-hour digital time clock, at the same time every minute timer function
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:11319
    • 提供者:金珊珊
  1. Time

    1下载:
  2. 24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。-24-hour clock design process, with hour, minute, second circuit design, based on the VHDL language, using Quartus 2 program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-17
    • 文件大小:383172
    • 提供者:张苏昕
  1. EDAtest

    0下载:
  2. 关于数字钟的实现,用VHDL实现时,分,秒,的显示,并能报时-Digital clock on the realization of VHDL to achieve with hour, minute, seconds display, and time
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:170660
    • 提供者:dulianjie1
  1. clock_counter

    0下载:
  2. 一个简易的时分秒自加计数器,没有设置功能-hour-minute-second counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1862
    • 提供者:Winson
  1. VHDL_clock

    0下载:
  2. VHDL数字钟设计程序 设计要求 基本要求: 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、实现闹钟功能(定时,闹响);--VHDL design process digital clock design basic requirements: 1.24 hours count display 2, when a school function (hour, minute) additional requirements: 1, to achieve alarm (
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:71632
    • 提供者:苹果熊
  1. VHDLDigitalClock

    0下载:
  2. 数字钟的VHDL语言实现基本功能,包括 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、实现闹钟功能(定时,闹响); -Digital clock in the VHDL language for basic functions, including 1,24-hour count display 2, when a school function (hour, minute) additional requirements: 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1465
    • 提供者:xiezunzhong
  1. Displayer

    0下载:
  2. VHDL编写的针对八段数码管的显示译码电路。实现动态扫描输出小时、分钟和秒。是基于CPLD开发板设计的一个数字钟的一部分。-Programmed with VHDL.The decoding and displaying circuit for 8-segments displayer.It outputs the data of hour,minute and second in order with dynamic scaning method.It is one of my total 9
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:150906
    • 提供者:chzhsen
  1. RvsTime

    0下载:
  2. 用VHDL编写。数字钟校时电路,根据表示是否校时的输入引脚、是校正小时还是校正分钟的输入引脚决定校正状态。接受一个按钮的脉冲输入,每输入一个脉冲,被校正的时间增加1.与我的其它8个模块配套构成一个数字钟。-Programmed with VHDL.The time-revising circuit of a digital clock. Detect the inputs and decide if revise time, hour or minute. It recepts an impul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:118501
    • 提供者:chzhsen
  1. VHDL-hour

    0下载:
  2. vhdl hour second minute
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:1638
    • 提供者:ben
  1. alarm

    0下载:
  2. VHDL,多功能数字钟:具有年、月、日、时、分、秒计数显示功能,以24小时循环计数;具有整点报时功能;可以对年、月、日、时、分及秒进行单独校对,使其校正到标准时间-VHDL, multifunction digital clock: a year, month, day, hour, minute, seconds count display features a 24-hour cycle The whole point timekeeping function possible for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:589557
    • 提供者:yaonan
  1. clock____!

    0下载:
  2. The project is designed with the hour hand and the minute and the second time in the ISE software language. Vhdl written.
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1769025
    • 提供者:Atefeh
  1. clock

    0下载:
  2. VHDL语言写的电子时钟,该数字电子钟能够实现时、分、秒计时功能;校准时和分的功能;校准时间时秒清零的功能;整点报时的功能;-written in VHDL,clock,count second,minute and hour
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3242614
    • 提供者:聪聪
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. szz

    0下载:
  2. 基于VHDL语言编写的EDA程序,可试小时分秒的自动进位,也可手动调时。-Based on Automatic carry EDA VHDL language program, you can try hour, minute and second, you can manually adjust the time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9750020
    • 提供者:齐天力
  1. shuzizhong

    0下载:
  2. (1)24小时计时显示(时分秒); (2)具有时间设置功能(时,分) ; (3)具有整点提示功能; (4)实现闹钟功能(定时,闹响);((1) 24 hour time display (time, minute, second); (2) have time setting function (time and minute); (3) it has the function of whole point. (4) realize the alarm clock function
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:4346880
    • 提供者:Goddd
搜珍网 www.dssz.com